Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cell <name> fed by <number> non-global control signals -- only <number> control sign

Status
Not open for further replies.

yushionly

Junior Member level 2
Joined
Dec 11, 2010
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,407
Cell <name> fed by <number> non-global control signals -- only <number> control sign

CAUSE:
The specified cell is fed by the specified number of control signals that are non-global signals. However, the current target device only supports the specified number of non-global control signals.

ACTION:
Assign the Global Signal option with a value of Global Clock to one of the clocks, or modify the design so that the cell is driven by less control signals such as clock or asynchronous clear.

how can i solve this problem?

My module has four input: clk,rst,din,cs and one output dout. clk frequency is unknown, I just choose four pins for each input signal and one pin for output signal ,but the compile error above occured.
 

Re: Cell <name> fed by <number> non-global control signals -- only <number> control s

I found once the clk signal was connected to global clock pin, the problem was solved
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top