Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Case statement question

Status
Not open for further replies.

hithesh123

Full Member level 6
Joined
Nov 21, 2009
Messages
324
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Location
lax
Activity points
3,548
Let's say you have a simple case statement -

Code:
case  SEL is
          when  "00" => output1 <= "0010";
          when  "01" => output1 <= "0011";
          when  "10" => output1 <= "0101";
          when  "11" => output1 <= "0110";               
          when others =>  output1 <= "0111";
end case;

This will be infered as a Mux when synthesised.

But what if I do this case statement under the rising edge of a clock.

If (rising_edge) then

Case ...
..

What would the infered logic be?
 

it will be a mux that has a register after it.
 
  • Like
Reactions: verylsi

    verylsi

    Points: 2
    Helpful Answer Positive Rating
Succinct answer.....

I would have thought it would actually synthesize down to 4 LUTs & 4 FF's

Sorry to be pedantic...:lol:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top