Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can we check the setup and hold time violations in fuctional simulation?

Status
Not open for further replies.

altair_06

Member level 1
Joined
Jul 10, 2006
Messages
38
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,533
Hi,

Can we check the setup and hold time violations in fuctional simulation ?
 

setup and hold time

if you use rtl code, you can not check setup and hold!!
 

Re: setup and hold time

You can check in Gate sim !!
 

Re: setup and hold time

Hello!!!
The setup and hold time may be checked after rtl synthesizing.
At been simulating of the netlist with attaching SDF.
 

Re: setup and hold time

Yes its possible to check the setup and hold time after synthesis(for ur netlist) but u have to have the simulation model for ur .lib which u used for synthesis.
 

Re: setup and hold time

Hi ,

Functional simulation with behavioural code we can't check setup and hold times .
setup & hold times of a FLOP is coming only if you have Flops with delay checks and wires annotated with delay .
Thanks & Regards
yln
 

Re: setup and hold time

Hi,
We cannot check for setup and hold time violations in functional simulation.
 

setup and hold time

We can check only in STA.But not in functional simulation.
 

Re: setup and hold time

We cant check the Set up and hold time in functional simulation but u can check it after the synthesis if ur .lib file have the info of the technology timing constraints.
 

Re: setup and hold time

I have checked the setup and hold conditions by running a gate level simulation.
 

Re: setup and hold time

we can check the setup and hold violation by using RTL code, if u have netlist by using tools loke ex:nc verilog we can find the setup and hold violations
 

setup and hold time

We can check the setup and hold time analysis after the synthesis but it is better to do the setup and hold time analysis after P&R. because the lack of clock tree the clock will not propagate correctly.so the timing analysis after synthesis before P&R it not advisble.

regards,
ramesh
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top