Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can I use uC/OS-II with MSP430F1121?

Status
Not open for further replies.

ltg

Member level 4
Joined
Feb 24, 2002
Messages
76
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
533
msp430 and ucos

Hi,

Can I use uC/OS-II with MSP430F1121? Thanks!

Best Regards,
ltg
 

Re: MSP430F1121, uC/OS?

Can u tell me little more about the processor..So that I will tell u it is possible or not.
I mean, I need information about memory management, How many bits micro controller it is, What is the size of SDRAM or RAM and FLASH memory it supports .
then I can tell u the posibilities..
regards
Poli Kitti
 

Re: MSP430F1121, uC/OS?

nvp said:
Can u tell me little more about the processor..So that I will tell u it is possible or not.
I mean, I need information about memory management, How many bits micro controller it is, What is the size of SDRAM or RAM and FLASH memory it supports .
then I can tell u the posibilities..
regards
Poli Kitti

Please do not spam !!!
And searching internet before spamming !
 

Re: MSP430F1121, uC/OS?

There is a port for the MSP430, I did not check if it supports your derivate.

hxxp://www.ucos-ii.com/contents/products/ucos-ii/downloads/TI-MSP430-FOR-uCOS-II-V252.ZIP

best regards
 

Re: MSP430F1121, uC/OS?

Hi ITG .. the MSP430F1121 ..has to little flash to run UcosII

is a great chip! but yu need another uuos (micro,micro)

There is a guy that wrote an OS for that chip .. but it uses a gnu compiler

**broken link removed**

It can be ported to the IAR enviroment .. i did some of that , but .Oh well
left that on a corner and went to do something else!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top