Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

can I adopt clock enable?

Status
Not open for further replies.

lightcloud

Member level 4
Joined
Oct 20, 2005
Messages
71
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,812
In my design, clocks of submodule have frequnce divided by main clock,
If I use divided clock,the generated clock has delay cause by d flip-flop,
and I should create many clokc in synthesis and do clock tree for every
clock,and the scan_chain sheme is complicated,So could I use clock enable
signal for main clock,and this could decrease the complicity of the backend
work.
 

Hi ,

1) You need to create generated clk for each divider . And I think you need to do clk tree for create clk , not to generated clk .
If you use any CTS engine it will take care .
2) Regarding Scan methodology , if you use scan clk separate ( not at speed scan) then try to add spike free mux after generate clk which has one I/P as scan clk .
ask CTS to balance from o/p of spike free mux .
with this you don't need to do clk balance separately ...

If you don't want to do any of the above still you want to achieve devide clk using clk_enable . please make sure following .
1) You need to balance clk_enable with main clk to avoid glitches in clk path ?
2) what type of divisions you are looking at ? some thumb rules are if you are doing devide by 2 then shift enable half cylce so that it clk puluse is gaurnteed ....



Thanks & Regards
yln
 

Well, u're worry about the complicated clock tree, which is separated by the clock divider logic.

however, to keep the clock tree as a unit, you can apply gating style clock divider.

regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top