Can all parameters be combined in a single file like "defines.v" ?

Status
Not open for further replies.

vivek_p

Advanced Member level 4
Joined
Feb 16, 2010
Messages
115
Helped
10
Reputation
24
Reaction score
9
Trophy points
1,298
Activity points
2,009
In many open cores files, I have seen that they have used "defines.v" file(File which defines all the macros)...............
They have included "defines.v" using #include in each module in the entire design


Like that can all the "parameters" can be combined in a single file and included. In such a case can we override the parameter (Paramaterised module)
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…