Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

cadence ifv ( Incisive Formal Verifier) problem

Status
Not open for further replies.

conmourtz

Member level 1
Joined
Mar 29, 2012
Messages
36
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Volos, Greece
Activity points
1,529
hello there i have a problem while i try to run ifv
i source the ifv_setup which located at: ifv/bin folder and there what it says:

ifv_setup : (c) Copyright 1995-2009 Cadence Design Systems, Inc.

bash: /home/edatools/INCISIV-10.20.026/tools/ifv/bin/ifv_setup: line 11: syntax error near unexpected token ``/bin/uname`'
bash: /home/edatools/INCISIV-10.20.026/tools/ifv/bin/ifv_setup: line 11: `switch(`/bin/uname`)'


any help of what could be wrong??

t.y.
 

quick thing. Are you using cshell or bash shell? type echo $SHELL to find out.

If not,copy and paste the setup code here.
 

share the setup script as well as machine information. Which linux version do you have?
 

share the setup script as well as machine information. Which linux version do you have?

here's the script:

export CDS_INST_DIR=/home/edatools/INCISIV-10.20.026
export PATH=$CDS_INST_DIR/bin:$CDS_INST_DIR/tools/bin:$CDS_INST_DIR/tools/dfII/bin:$PATH
export LD_LIBRARY_PATH=$CDS_INST_DIR/tools/lib/:$CDS_INST_DIR/tools/systemc/lib:$CDS_INST_DIR/tools/systemc/lib/gnu:$CDS_INST_DIR/tools/systemc/lib/compat:$CDS_INST_DIR/tools/systemc/gcc/4.1/install/lib/:$LD_LIBRARY_PATH
export LM_LICENSE_FILE=$CDS_INST_DIR/share/license/license.dat
export PATH=$CDS_INST_DIR/tools/systemc/gcc/4.1/install/bin:$PATH


and i use ubuntu 12.04 32bit


need any other information?
 

hmm. It may not work with ubuntu. Try Centos 5.8 or centos 6 or RHEL 6.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top