Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence-Digital ASIC design flow

Status
Not open for further replies.

ksrinivasan

Member level 3
Joined
Jun 29, 2009
Messages
67
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,288
Location
India
Activity points
1,706
Guys
iam doing a digital ASIC design project. Can somebody tell me what are the tools that i require for a complete flow from RTL to GDS II flow.Iam currently trying to work with SOC encounter.Is this tool alone enough or should i have design compiler and some more tools.Looking forward for ur early help

Thanks
Srinivasan
 

Functional Simulation --> IUS
Linting/Other Checks --> HAL
Synthesis --> RTL Compiler
Formal Verification --> Conformal
Timing Analyis --> Encounter Timing System
DFT --> Encounter Test
Physical Design --> SOC Encounter
Physical Verification --> ASSURA
 

Soc enocunter does the complete RTL to GDSII flow...
so downloading soc encounter alone is sufficient..am i right
 

hi,

my 2 cents,

At first you need to know the complete asic design flow,

There are pointed tools available for performing specific task if you need accuracy , you can get the list of the tools and their datasheets, and what functionality or what portion of the asic design it will be covering can be found at the EDA vendor portal for example visit, synopsys/cadence/mentor browse through them , there are hundreds of EDA vendors, thousands of tools available, on the need basis, it is hard to genarlize.

myprayers,
chip design made easy
https://www.vlsichipdesign.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top