Block RAM "read" enable

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
After looking into various implementations of Altera block RAM, I noticed that non of them have a "READ" enable pin.
Does this mean that all RAM blocks are of a "look ahead" ("first word fall through") type?
 

Re: Block RAM "read" enable

What have you been reading? the documentation Im reading says the have a read_enable input.
 
Last edited:

Please look at following examples.
Each of the examples is using only a subset of available RAM functionality.

Apparently you did not yet read the respective Altera device handbook or the RAM Megafunction user manual.
 

These are just design examples. But there is this interesting quote in the HDL coding style section of the quartus handbook:


If you read the FPGA documentation, the IV family have read enables. But the enable does not connect directly to the register, it has the address stall instead. The readenable is for asynchronous data output.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
The readenable is for asynchronous data output.
What do you mean? Please give an example by code...
 

Re: Block RAM "read" enable

I think its diffcult to give an example by code, as there are no code examples. Some things just have to be directly instantiated. But to my knowledge, it would be something like:

read_data <= ram(addr_reg) when rd_en = '1' else old_data;

- - - Updated - - -

PS. I dont think I have ever used a read_enable directly on an internal ram. Usually you just keep the read_address constant.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
TrickyDicky,
Do you agree with the following statement:
A FIFO implemented with a block ram that doesn't have a read enable signal - will always be a "First Word Fall Through FIFO"
 

Yes, as it wont have an output register.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…