Binary to Decimal Conversion

Status
Not open for further replies.

vveerendra

Newbie level 5
Joined
Apr 2, 2013
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,358
Hi,

Can anyone help me, I am designing a multiplier algorithm, I have binary "100000" as my result (which is decimal "32") I want to display that binary number on the nexys2 7 segment display as 32.

what are the available conversion techniques available?
please help me !!

thank you,
 

Hi vveerendra
Here is my understanding about what you've wrote , tell me if it is correct : you want display a decimal number on seven segment but your number is binary and you need to convert it into decimal right ?
If my consideration is right you can go through many of the available binary to 7 segment integrated circuits which are easily available .
Best Wishes
Goldsmith
 



I understand about the binary to 7 segment integrated circuits my question is how to convert a 8 bit binary number to 2 digit decimal number using these conversion techniques? That is where I struck?
Thank you for your reply!!
 

To display 3 and 2, you need to have them in BCD (binary coded decimal), instead of straight binary.

You'll use two 4-bit registers or latches or counters. One contains '0011' and the other contains '0010'. Each value will go to its own 7-segment display.

To arrive at this, you could count down your '100000' figure, while counting up on a BCD counter IC.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…