Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Behavioral simulation of gate level - Modelsim

Status
Not open for further replies.

eng.amr2009

Junior Member level 3
Joined
Dec 21, 2009
Messages
25
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Egypt
Activity points
1,509
Guys,

In case I use a post APR or post Synthesis netlist in simulation without SDF annotation, I receive timing violations as the timing checks are performed based on default timing in standard cells behavioral model.

I know of a modelsim option called +notimingchecks which is added to vsim arguments to disable timing checks globally. However, my Question is

Does it only disable timing checks and still the outputs are evaluated after the default gate delays ?
Or the gates are treated as RTL where logic have zero delays ?

If they are not treated as zero delay, then how can I instruct Modelsim to assume zero delays and ignore timing specs in std cells behavioral models ?

note : I do not have the RTL for this netlist and I do not need to run timing simulation. It's just included as a submodule in an all-RTL design.

I'm using TSMC 180
Quetsasim 10.3
 
Last edited:

Only timing checks are disabled using that option in modelsim. The gate delays are considered.
 

So as you said, only timing checks are disabled means..

The delay calculation is as usual but the timing constraints are not checked .. am i right?

And does the standard cell library have any timing specs and checks?

thanks
 

S..Ur right
The standard cell library will give us the gate delays, transition times...But no timing checks.
 

thanks for your answer,

May i know what are these timing checks?

thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top