Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Assigning Value for Logic 1 in Verilog testbench

Status
Not open for further replies.

aarthy_maya

Junior Member level 3
Joined
Jan 12, 2008
Messages
25
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,612
Hi,

I am newbie to Verilog.
I am trying to write a test-bench for my custom design circuits using Verilog. The Simulation is done in Cadence ADE using AMS simulator. The problem is, the PDK uses two different voltages (1.8/3.3V), so when I run the simulation, the Value of logic 1 is at 1.8V. I have defined VDD as global pin with value equal to 3.3V. But it doesn't help :-(

Can anyone help to solve it? where I am wrong. And what I should do to get the value to logic 1 value to be 3.3V

Thanks!
Aarthy
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top