Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Ask for help on installing gcc compiler in Modelsim under Windows 7

Status
Not open for further replies.

lien0205

Newbie level 5
Joined
Dec 3, 2009
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
Hi everyone,

I want to install gcc compiler in Modelsim se 6.5c because I need to use AutoESL to communicate with Modelsim. After copied all the thing from gcc-4.2.1-mingw32.zip to Modelsim installation foler, I could simulate systemC in Modelsim but AutoESL still complains:

[SIM-57] Did not find gcc installation in ModelSim directory. Please install GCC package for ModelSim.

Any idea or sugguestion on how to configure the gcc compiler installation in Modelsim?

Thank you.

-Hao
 

I've installed GCC for SystemVerilog in ModelSim.

However, I was only successful on a Win32 OS, Windows XP Pro. ModelSim states there is an incompatibility for Win64 OSs.

Is your system 64-bit or 32-bit?
 

Hi bigdogguru,

My system is 32-bit actually. And do you know what's the difference between gcc for SystemVerilog and for SystemC?

Thank you.

-Hao
 

Actually, I do not know the difference. I should have read your initial post more carefully, too early in the morning, and noticed you successfully simulated SystemC. It looks like the AutoESL is not finding GCC, maybe there is an environment variable not set correctly. I noticed AutoESL doesn't complain about an incorrect version, it just can't find it. I dig around and see if there are any similar issues reported by other individuals.
 

i think you have unzipped mingw files and copied them to a directory.
if you have 'installed ' it , it can find it.
one solution is:

change your 'path' variable to point to the unzipped 'mingw\bin' directory.
 

I have no experience with AutoESL, so I'm flying blind. But, I keep seeing references to a config file specifying the gcc version and location.

Do you know what the other reports are referring to?

The config file could be your problem if set by default to another location or version of gcc.
 

and there is no such thing as gcc for systemverilog and gcc for systemc.
as long as the version compatibility exists , there will not be a problem.
 

Thank you bigdogguru and srizbf. I have figured out that there is trully a mistake when I configure the PATH variable(it should be pointed to the \bin of Modelsim directory). Now AutoESL can recognize Modelsim and gcc. I am running some simple example to see the simulation results. And also I made Xilinx ISE talk with AutoESL. Actually, it is amazing to see how those tools talk with each other.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top