Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Ask for an accurate SDRAM power model

Status
Not open for further replies.

anwayy

Junior Member level 2
Joined
Sep 19, 2002
Messages
23
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
146
Hi.

I'm looking for a SDRAM power model whick can distinguish SDRAM power dissipation between Single Read and Burst Read operation.

Somethine like this:

when (SdramInBurstRead_8)
Power = Power + Power_SdramInBurstRead_8;
when (SdramInBurstRead_16)
Power = Power + Power_SdramInBurstRead_16;
when (SdramInSingleRead)
Power = Power + Power_SdramInSingleRead;
when (SdramInActive)
Power = Power + Power_SdramInActive;
......................

I can't find one which is accurate in application level. So who can help me?

best regards
Jason
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top