Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Anyone use MATLAB in VLSI designing

Status
Not open for further replies.
matlab code for vlsi

Basicly matlab is for algorithm simulation. But now Matlab integrated some RTL co-simulation and FPGA core co-sim functions. It's sounds good.
But is there anyone convert Matlab model to RTL automaticlly?:0
 

matlab codes in vlsi design

I was aware that Matlab is extremely handy when it comes to verifying an algorithm, however, in converting to HDL, I suspect that it doesn't work.

Same goes with the SystemC and Handel-C. They work well with designs with only one clock but maybe a complicated algorithm. However, when converting that algorithm into netlist, I believe HDL coding is more efficient.
 

where do we use matlab

yes,but i just use matlab to simulate the whole system.
 

matlab for vlsi engineers

Matlab is for system modeling. It is used to verify and improve algorithm, to design the architecture.
But to design the Circuit, at least right now, no one can take place of HDL.
 

tutx said:
Anyone use MATLAB tools in VLSI designing? It is more convenient than VHDL or not? Do you think it can replace VHDL design?

if you have any experience in thess fields, pls give me some advices, it will help me very much.

Tks and regards !

i wud say, matlab cud/wud never replace the VHDL programming softwares... Atleast this is my point of view. i hav expereinced for my final year programmin, Even i wudnt suggest a conversion from "C" to "VHDL". It only doubles the amount of work with lesser efficiency. Better to learn VHDL or Verilog, whatever(or better both! :) ) and then start working directly on the available software that u like most.

Reg. the matlab toolboxes that are available for conversion, they r no way near the VHDL specific softwares i believe.

/cedance
 

to verify the algorithm only
 

Yes, I only use Matlab for system level simulation
 

Matlab is good for system level design like pll and cdr etc
 

matlab is for verification of the algorithm.the rtl code coverted by it is not synthesisable. mostly matlab is used for verification of the algorithm
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top