Always Vs initial :stopping Simulation

Status
Not open for further replies.

vickyuet

Member level 2
Joined
Oct 3, 2006
Messages
50
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
pakistan
Activity points
1,691
Dear,
I know the difference b/w initial and always construct but I had found a bug during its use in stopping a simulation in Modelsim.

1- initial # 500 $stop; worked well and stops the simulation precisely at 500 time units.
2-always@* # 500 $stop; sets up an infinite loop and simulation failed to stop unless we exit modelsim....

I knew always constructs run continuously but I am forcing it to stop then it might Why infinite loop set up:?:.Kindly guide/correct me
Regards 8-O
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…