Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] ADE XL on Ubuntu 20.04 Problem

Status
Not open for further replies.

alebrune

Newbie
Joined
Apr 17, 2018
Messages
4
Helped
1
Reputation
2
Reaction score
0
Trophy points
1
Activity points
29
Hello,

I have a problem in running IC618 ADEXL on Ubuntu 20.04. I have seen that the topic has been addressed previously in thread 387835.
Unfortunately, installing the fonts did not work for me. I have tried to find the vnc log file as described in the other thread but I cannot find it.
The error I get is the ADE Explorer Message 1921 Failed to start a new job.
For the rest, Virtuoso is running fine.

Could someone please help?

Many Thanks!
 

Not too much information to help.
Ubuntu is not blessed distro for Virtuoso. If you don't see any vnc related logs, check whether you have installed Xvfb package (X server frame buffer) which has replaced vnc for no graph run.
 
Thank you, I have just installed xvfb (before it used to crash) what can I check? Many Thanks!
--- Updated ---

So the output in the terminal from virtuoso is pasted here. The libstdc++.so.6 error was present before and was not giving any troubles. It looks like the cdsXvfb-run command is not found.

Code:
opt/xfab/XKIT/x_all/cadence/xenv/.xkit/setup/x_all/cadence/xenv/bin/xkit_tech ... will start virtuoso now --
gdb: /opt/cadence/installs/IC618/tools/lib/64bit/libstdc++.so.6: version `CXXABI_1.3.11' not found (required by gdb)
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 141: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 171: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 176: printf: %q: invalid directive
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 141: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 171: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 176: printf: %q: invalid directive
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 141: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 171: [[: not found
/opt/cadence/installs/IC618/tools.lnx86/dfII/../../share/bin/cdsXvfb-run: 176: printf: %q: invalid directive

EDIT1: Apparently by opening the cdsXvfb-run script lines 141 and 171 are pointing to the following
141: if [[ "${CDS_XVFB_MONITOR}" != 0 && -x "/usr/bin/setsid" ]] ; then
171: [[ ${monitor} = 0 && ! -x "/usr/bin/setsid" ]] && echo "# /usr/bin/setsid not available (no monitoring)" >> ${errorFile}
 
Last edited by a moderator:

So, now the following questions arises:
1. have you setsid binary located in /usr/bin (should be as it is part of util-linux package)?
2. are you using C libraries from system or virtuoso installation? → Are paths set correctly (both PATH and LD_LIBRARY_PATH)
3. Are libraries installed in proper versions (not sure whether in any installation readme file is a list of dependencies)
4. Are you using proper shell (or in proper version)

What you can else do, is to try to change nograph mechanism to vnc (run cdsenv editor from Options menu in CIW and look for Xvfb or vnc).
Check what happens if you change job policy mode between ICRP and LSCS. Check environment variables.
 

    alebrune

    Points: 2
    Helpful Answer Positive Rating
Thank you Dominik,
1. setsid is located in /usr/bin
2. in the .bashrc I have set the PATH as:
export PATH=$PATH:$IC_HOME/tools/dfII/bin:$IC_HOME/tools/bin:$IC_HOME/bin
and the library PATH point to the cadence installation
export LD_LIBRARY_PATH=/opt/cadence/installs/IC618/tools.lnx86/lib/64bit/Ubuntu/
(here the Ubuntu folder was part of the cadence installation already)
3. I think so, is there a way to check?
4. I am not sure what you do mean by a proper shell, sorry I am new to this.

In the cdsenv editor I cannot find neither xvfb or vnc
Where can I change the job policy mode (and what is ICRP LSCS)?

Thanks a lot!

EDIT: maybe useful info here. If I run echo $PATH on the shell I get the correct path of the bashrc script, while if I run echo @CDS_XVFB_PATH I get nothing, maybe this is normal? Should I add it to the .bashrc?
 
Last edited:

I have checked the script with a friend and we found that the cdsXvfb-run header is a shell script but the content is written for bash.
In the file, I changed the first line.
#!/bin/sh
by modifying the script to:
#!/bin/bash

in the .bashrc I gave cadence the location of the XVFB
export CDS_XVFB_RUN=/usr/bin/
iif you want you can add the debug variable to see what the script is doing by adding
export CDS_XVFB_DEBUG=99
it seems not to give the give the error anymore and completes the simulation for me.
 

    OG_

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top