Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

adding a core from coregenerator to XPS

Status
Not open for further replies.

guduru

Newbie level 5
Joined
Jun 17, 2007
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
Hi,
iam working on xilinx platform studio.when i try to add "DCT" from coregenerator as user logic to the OPB bus of power PC processor im getting following error.

ERROR: "logical block 'dct with type 'dctd' could
not be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol 'dctadd' is not
supported in target 'virtexIIpro'."
i synthesized the code in Xilinx 8.2 and generated the netlist successfully but
when i tried to update bitstream after importing my DCT core into the project I got this error .
anybody please help me in solving this problem?
Thanks in advance....
 

i guess you created a xps pcore using this dct core.

the error is translate error means the ediff code of the dct is not found or exist.

if you generated ipcore for the dct then the edn or ngc should probably be located in the netlist sub-folder, hdl style should be MIX, and there should be a bbd file under data sub-folder.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top