Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

a warning about design compile: fanout

Status
Not open for further replies.

iamczx

Member level 3
Joined
Oct 27, 2004
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
581
Warning: Design 'top' contains 2 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)

How to eliminate this warning?
use command set_max_fanout?

thanks in advance
 

May be you're trying to drive to many entites with one single signal. try to share.. As you don't give a lot of details on the tool set used, or the language either it's really difficult to help you :)
 

maybe you should look for the net.
 

I use verilog . When I compile the "top ", I set the clk and the rst signal as ideal network.
So ,the high fan-out net won't be clk and rst net,is it?

And , is there any command to find the hign fanout net ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top