Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

a problem that simulate using modelsim simulator

Status
Not open for further replies.

floatgrass

Member level 3
Joined
May 7, 2002
Messages
67
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
517
verlog simulation using modelsim,there is a error:

Error: F:/myftp/mlf/mlf code/MLF 0116(end)/generic_dpram.v(887): $hold( posedge CLKB &&& re_flagB:1600 ns, WENB:1600 ns, 1 ns );


please tell me how to solve it.
thanks
 

I think it implies that there are some hold time violation in your design which happen between the clk and re_flag signal.
 

the problem is that this error happen at funtional simulation using artisan ram verilog model.
 

so, if you want to avoid this error inf, you could shut down the specify statement in ram module!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top