Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

8X8 multiplier testbench problem (ISIM)

Status
Not open for further replies.

Jasper12

Newbie level 1
Joined
Apr 14, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Hi , can you tell me why im getting UUUUUUUU for first value of product...and it seems like all the values of product are shifted right..... is it something to do with the clock? ive attached to testbench coding and simulation. THanks! :D
 

Attachments

  • mult8X8testbenchcode.txt
    2.3 KB · Views: 85
  • mult8X8testbenchwaveform.png
    mult8X8testbenchwaveform.png
    220.8 KB · Views: 53

Probably because its not given an initial value.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top