8 bit adc interface to a spartan 3 E

Status
Not open for further replies.

ajchintz

Newbie level 2
Joined
Apr 5, 2012
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
i need to interface my pt 100 temperature sensor to a spartan 3e ....so i need to know which adc do i use for a 8 bit input...
also plz give me the verilog code to drive this adc...i was thinkin i could 0808 adc is it fine if i use it... plz do give me the verilog code for it...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…