Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

4 bit binary counter in FPGA (using VHDL)

Status
Not open for further replies.
counter in FPGA

Hi sanjana,
as u have solved it, u can just put the way u did to resolve the Exact problem, so that in future others will have some reference when they face the same
 

Re: counter in FPGA

try to declare count as register instead of signal and check.. just try this...
 

Re: counter in FPGA

I used the debounce code given above for the clock and it worked.
 

Re: counter in FPGA

i have a question in counter.
in quartusii,the
reg [3:0] a;
a<=a+1 ;
will have a warning:give the 5bit value to the 4 bit variable.
how to cancel the warning? thank you.
 

counter in FPGA

I don't have that compiler, but I can make a guess. It may be warning you that a<=a+1 will overflow if "a" equals 15. You may be able to avoid the warning by using a 4-bit 1: a<=a+4'd1;
 

Re: counter in FPGA

your advise is good ,the warning in quartusii haven't been seen,but in the synplify,the warning is still there:ignored carry sum.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top