Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

3.3V driving 5V digital. how??

Status
Not open for further replies.

silver_sparrow

Member level 1
Joined
Jul 7, 2001
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
North Silicon Valley
Activity points
231
What device do I need to help interface 3.3V digital output
to 5V input on CPLD???

I just have 3 signals to interface, with max freq of operation at 75MHz.

I would like to be able to buy the component from Digi Key.

Sparrow
 

Logic Compactibillity

Hy, try the single configuration called inverter with single transistor and resistr, its funny and work very well.
Gorkin
 

Use a level translator. Maxim have a few products. If the speed is not high enough you may need to build something with discrete components

**broken link removed**

E
 

Use SN74LVC3G07 which is an open collector 3 gate buffer from Texas.
Can work on voltage 2V6 - 5.0V. Ideal solution.
8)
 

If it is just for inputs meaning, if you are not required to connect the 5V output of CPLD to the 3.3V device, do you really have to use a level translator?
I think 3.3V will still drive a high on the TTL input pins.
 

Which type CPLD are you use?
Now may 5V cpld can be drived by 3.3V
 

Have a look at TI.

Interfacing the MSPxx device(3 V) to TTL.
There are a examples.

**broken link removed**


Divemen
 

I agree with it_boy and my_garden. Just look at the output and input specs of your device. For most CMOS CPLD inputs, > 2.0V means 'high'. So if your 3.3V output comes to say 3.0-3.1V, there is no need for level shifters.

I think you'll find the same in the TI document Divemen pointed out.
 

thnx for the responses, it was nice to share ideas on this.

the cpld is a -Al ter a- Flex10K.

-- one signal does not reach 3Vpp to the scope, that is why I wanted to
level shift before feeding.

I shall try to use a buffer to compensate from TI.

sparrow
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top