Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

2 Dimentional Input/Output Port in Verilog

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
Is it possible to have a 2-D Input (or Output) port declaration in Verilog ?
 

To my knowledge, it doesn't support two dimensional ports. Its possible to declare ports only as vectors, where the size defines the width of the data bus.

I hope that you are referring to the width and depth as two dimensions of the input/output ports.
 

It is one of the limitations of Verilog...
 

not in verilog, but think supported in system verilog using interfaces.
 

i may be support in verilog-2001
No, neither in Verilog 2005. But System Verilog 2005 introduces a type definition, that can be referenced in module ports. It should allow multidimensional arrays as well as structures in ports.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top