Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] 16-to-4 multiplexer IC

Status
Not open for further replies.

peak@eda

Newbie level 3
Joined
Jul 16, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
24
Hello,

I'm in search of a multiplexer that allows me to input 16 digital lines and get a 4-bit digital output. The closest I've come is a 74LS151, 8 inputs and 1 output.

Any suggestions?

Regards
Peter
 

This doesn't exist. You've got 65536 input states, but only 16 output states, how do you expect this to work? Do you have a truth table in mind?
 

barry,

Thanks for your reply and to clarify my poor explanation. I have 16 switches (on or off, high or low) I want to reduce the number of inputs to four by coding their input into 4 bits, thus 16 possible combinations, 0-15. Note: Only one switch is active at one time.

- - - Updated - - -

- - - Updated - - -

- - - Updated - - -

Thanks ½ dime, I'm into that if no other solution will show.
 

Ok, as long as you can ensure that one and only one input is active at a time. Could you use a small PLD like a Xilinx Coolrunner?
 

74ls150 x 4
16 line to 1 line with 4 input selector and a gate signal.
Sorry, this is a "hard to find" part.
 
Last edited:

Ok, I'll go for the 151, thanks all !
 

Ok, I'll go for the 151, thanks all !

That will totally NOT do what you want. The 151 is a data selector: the 4-bit selector input determines which of 16 inputs appears on its single output. It is not 4 outputs as your original post requested.
 

You want to build something like this?
Or a 16 signal to 4 signal coder?
digi_aula9_fig9_99_1.gif
 
Last edited:

You want to build something like this?
Or a 16 signal to 4 signal coder?
View attachment 93711

I think what the OP wants is to generate a 4-bit code depending which of sixteen inputs is (exclusively) active. The closest device to this is a priority encoder. But it would be a simple task for a PLD.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top