Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert Verilog code to VHDL code?

Status
Not open for further replies.

mamerm77

Junior Member level 1
Joined
Jan 14, 2007
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,367
dear All ,
i am trying to convert verilog source code to VHDL code , does there is any tool can convert from Verilog to VHDL ,

Note i am using FPGAdv 7.0 does this tool do that or it should use another tools
thanks
Regards
mamerm77
 

Re: Verilog to VHDL

The is a converter called XHDL which converts Verilog to VHDL as well VHDL to Verilog...................

I think you can get the demo version from the XHDL website itself.............

**broken link removed**

(the disadvantage of demo version is that there are some restrictions on number of lines,you can't save the file,etc)
 

Re: Verilog to VHDL

dear sir
thanks for your reply and i already got the software but i can not use it bcoz the restirections of demo version , if u have the licence please prvide me by it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top