Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Using internal oscilator on an FPGA

Status
Not open for further replies.

happytronic

Newbie level 4
Joined
May 14, 2003
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
63
Hi everyone,
I did a FPGA based design using Xil*Fouldation and a VHDL program, I was using an oldy XC4032A but was unable to assign the internal oscilator to my clock signal; I had to create a macro of the VHDL and use the schematic editor for it to work.

I would think it is possible to do it using the User Constraint File , I had a small try at it without any success.

could someone be kind enaugh to give me the description line I need?

Thanks, and have a nice day.
Happytronic
 

the 4000 series can't use internal oscillator.
only to program the bitstream in master serial mode.
Bye
G.
 

what I meant is i want to use the internal oscilator circuit (located on the botom right side of the FPGA) that is to be used with an external crystal.
And I know for sure that the 4000 series has one because I used it! one was even present on the 3000 series.

Happytronic
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top