Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Converting real values to stdlogic for VHDL code

Status
Not open for further replies.

vinodkumar

Full Member level 5
Joined
Oct 5, 2006
Messages
251
Helped
12
Reputation
24
Reaction score
3
Trophy points
1,298
Location
hyderabad
Activity points
2,822
hi
iam implementing an algorithm in which my inputs are real values.what i know is real ports r not synthesizable.what i thought of is to convert to stdlogic and then implement.for this i need a package.right.if this is correct and anybody already worked on converting from real to stdlogic plz help me i will be vary much thankful.
if my thought is wrong.plz suggestd other esier methods.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top