Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to make a 16 bit d flip flop in VHDL?

Status
Not open for further replies.

voodoo3007

Newbie level 6
Joined
Jun 28, 2006
Messages
13
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,347
i6 bit input d ff

how to make a 16 bit d flip flop in vhdl.......
 

Re: i6 bit input d ff

this code for 16 bit dff :


library ieee;
use ieee.std_logic_1164.all;
entity dig_ff is
port(
d : in std_logic_vector (15 downto 0); -- input data
clk ,rst : in std_logic; -- clock and rest
q : out std_logic_vector (15 downto 0));--output data
end dig_ff;
architecture cct of dig_ff is
begin
process(rst, clk)
begin
if (rst = '0') then --asynch reset
q <= (others=>'0');
elsif (clk'event and clk = '1' ) then
q <= d;
end if;
end process;
end cct;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top