Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can FPGA program?

Status
Not open for further replies.

power-twq

Full Member level 6
Joined
Jun 10, 2005
Messages
373
Helped
8
Reputation
16
Reaction score
3
Trophy points
1,298
Activity points
4,550
Hello, all friends:

FPGA use which method to realize

programming for inconnect,

bus switch like device or other

advanced method?

best regards
 

User-programmable switch Technologies
1.EPROM Technology
2.EEPROM Technology
3.Anti-Fuse Technology
4.Static RAM Technology

The most common used today is Antifuse Technology or SRAM
Insulator in the middle.
Unprogrammed, the insulator isolates the top and bottom layers; programmed, the insulator becomes a low-resistance link.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top