Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence ncmirror equivalent for mixed VHDL/Verilog design

Status
Not open for further replies.

RonC

Newbie level 1
Joined
May 4, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
ncmirror

Is there a way in a Cadence simulation flow (ncsim) to access a hierarchical Verilog signal from a VHDL testbench, or a hierarchical VHDL signal from a Verilog testbench? Cadence has the nc_mirror feature which replicates the hierarchical referencing capabilities of Verilog, but is there a mixed-language equivalent?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top