Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Vivado error please help with library

Status
Not open for further replies.

abimann

Member level 4
Joined
Jun 21, 2016
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
673
I use new Artix7 instead of old fpga chip, so I migrate sources to Vivado from ISE so problem is happened.
Code:
*************
Library UNISIM;
use UNISIM.vcomponents.all;
use work.library.all;
use work.temp_library.all;
Library XilinxCoreLib;
**********************
component br_1_4
	port (
	clka: IN std_logic;
	dina: IN std_logic_VECTOR(3 downto 0);
	addra: IN std_logic_VECTOR(9 downto 0);
	wea: IN std_logic_VECTOR(0 downto 0);
	clkb: IN std_logic;
	addrb: IN std_logic_VECTOR(9 downto 0);
	doutb: OUT std_logic_VECTOR(3 downto 0));
end component;
begin
bram_01 : entity br_1_4 port map(clka=>clk25, dina=>dataWR, addra=>adrA, wea=>WRENA( 1), clkb=>clk50, addrb=>adrB, doutb=>dataRD( 1));
bram_02 : entity br_1_4 port map(clka=>clk25, dina=>dataWR, addra=>adrA, wea=>WRENA( 2), clkb=>clk50, addrb=>adrB, doutb=>dataRD( 2));
*********
if like above it says "Error: br_1_4 is not a entity."

Code:
bram_01 : entity work.br_1_4 port map(clka=>clk25, dina=>dataWR, addra=>adrA, wea=>WRENA( 1), clkb=>clk50, addrb=>adrB, doutb=>dataRD( 1));
bram_02 : entity work.br_1_4 port map(clka=>clk25, dina=>dataWR, addra=>adrA, wea=>WRENA( 2), clkb=>clk50, addrb=>adrB, doutb=>dataRD( 2));
bram_03 : e

if like this, i use work library it said ,"Cannot find <br_1_4> in <library work>. Please ensure that library was compiled, and that a library and a use clause in vhdl file" , it is include in <work> library

How to compile library in Vivado ? or i must open library and write smth but it was working on ISE normally ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top