nsgil85
Member level 4
Hi guys
What is the syntax for reset array of record?
for example:
What is the syntax for reset array of record?
for example:
Code:
type record_slr is record
a : integer range 0 to 520;
b : integer range 0 to 780;
c : std_logic_vector(8 downto 0);
d : std_logic_vector(31 downto 0);
e : std_logic_vector(31 downto 0);
end record record_slr ;
type array_slr is array (0 to 37) of record_slr ;
signal slr: array_anchors ;
process(clk,nrst)
begin
if nrst= '0' then
-- ??