Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim vsim-3033 error can't find instantiation is the -L handle broken?

Status
Not open for further replies.

wtr

Full Member level 5
Joined
May 1, 2014
Messages
299
Helped
29
Reputation
58
Reaction score
25
Trophy points
1,308
Activity points
4,108
The following is the dump

vsim -L work test.sd_cmd_master_tb
# vsim -L work test.sd_cmd_master_tb
# Start time: 16:38:27 on Jan 03,2018
# Loading sv_std.std
# Loading test.sd_cmd_master_tb
# ** Error: (vsim-3033) ../../../bench/verilog/sd_cmd_master_tb.sv(72): Instantiation of 'sd_cmd_master' failed. The design unit was not found.
# Time: 0 ps Iteration: 0 Instance: /sd_cmd_master_tb File: ../../../bench/verilog/sd_cmd_master_tb.sv
# Searched libraries:
# C:/fpgamason/memory/sd_card_controller/trunk/sim/rtl_sim/run/test
# C:/fpgamason/memory/sd_card_controller/trunk/sim/rtl_sim/run/test

Notice that it doesn't look in the work library but rather the test library twice.

to put into context the following works

vmap diff_name work
vsim -L diff_name test.sd_cmd_master_tb
# vsim -L diff_name -L test test.sd_cmd_master_tb
# Start time: 16:46:34 on Jan 03,2018
# Loading sv_std.std
# Loading test.sd_cmd_master_tb
# Loading diff_name.sd_cmd_master
 

I never use -L with the work library it's modelsim's default library and I've noticed it has a different behavior than libraries that are vmap'd (and therefore show up in the modelsim.ini file. There is an existing forum member that is employed by Mentor that can probably explain this in more detail.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top