Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Compile package into "ieee_proposed"

Status
Not open for further replies.

Hugo17

Junior Member level 1
Joined
Oct 8, 2015
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
162
I would like to use the fixed point package from D. Bishop for an altera fpga. According to the header of the file
fixed_pkg_c.vhd it should be compiled into "ieee_proposed".

Could someone tell me how I can do that with Quartus?

thanks
 

you set the library in the file properties:

project -> add/remove files in project -> <fixed_pkg_c.vhd> properties -> library.

To be honest, you should be able just to stick it in the work libarary as Quartus is rather relaxed about libraries by default.
 
  • Like
Reactions: Hugo17

    Hugo17

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top