Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xpath error: unbalanced parantheses found in Expression

Status
Not open for further replies.

Sunayana Chakradhar

Member level 5
Joined
Oct 24, 2014
Messages
85
Helped
2
Reputation
4
Reaction score
2
Trophy points
8
Activity points
742
I am packaging my custom logic with axi lite and in customization window I get xpath unbalanced parantheses error. I have used generic in my code and in top module I have used generic map also. Still this error. What should I do to resolve this?
 

The problem is probably in line 15 of your code.
 

how are you so sure that the problem is in line 15 of my code? i have not even uploaded my code.

My code goes like this

Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library axi_lite_ipif_v3_0_4;
use axi_lite_ipif_v3_0_4.ipif_pkg.all;
use ieee.std_logic_arith.all;
    
entity decode_top_new is
generic (
 
        c_s_axi_data_width    : integer := 32;
        c_s_axi_addr_width    : integer                   := 32;
        c_s_axi_min_size      : std_logic_vector(31 downto 0):= X"000002FF";
        c_use_wstrb           : integer := 0;
        c_dphase_timeout      : integer range 0 to 512 := 8;
      
 
     c_ard_addr_range_array: slv64_array_type :=
             (
              x"0000000070000000", -- IP user0 base address
             x"00000000700000FF", -- IP user0 high address
             x"0000000070000100", -- IP user1 base address
             x"00000000700001FF"
                );
       
               c_ard_num_ce_array     : integer_array_type :=
                (
                  4,         -- User0 CE Number
                  12         -- User1 CE Number
                );
               c_family              : string  := "zynq"
        );
    port(

 
Last edited by a moderator:

how are you so sure that the problem is in line 15 of my code? i have not even uploaded my code.

I think he was providing as good an answer as you provided a question. My answer would have been : Re-balance the Paranthesis.
You havent posted all of the code, or the error, so still cannot really help you.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top