Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

converting sfixed to std_logic_vector

Status
Not open for further replies.

214

Junior Member level 2
Joined
Feb 22, 2016
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
247
i want to convert sfixed number (12 down to -8) to std_logic_vector....

what could be the possible command for use ?
 

there is a to_slv function:

Code:
signal my_sfixed : sfixed(12 downto -8);
signal my_slv : std_logic_vector(20 downto 0);

my_slv <= to_slv(my_sfixed);
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top