Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

error loading design in Model Sim

Status
Not open for further replies.

moin_moin

Newbie level 3
Joined
Jan 23, 2016
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
36
I am learning VHDL by my own and wrote a small program for AND. My program is getting compiled successfully but when I simulate it I get an error message "vsim work.and2 vsim Start time: 20:39:58 on Mar 20,2016 Error loading design" Please help someone help me to solve this problem Thanks in advance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top