Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Is there any reference for debug C code in Simvision ,Many THX

Status
Not open for further replies.

my2817

Newbie level 4
Joined
Sep 1, 2011
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,320
In system Verilog , I use DPI to call a function writed by C, but I can't debug C code in simvision . I'm waiting Someone to tell me what next to do, as the following picture, the value of variable "a" do not update every time, it's my firs time to do use DPI interface, waiting your HELP, Thx a lot.
image001.png
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top