Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

16 bit carry look ahead adder

Status
Not open for further replies.

Mkhitar Ghazaryan

Newbie level 1
Joined
Oct 31, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
6
Hi,
I need a verilog code for 16bit signed carry look ahead adder,
Please help me.
 

The easiest way to get the code will be to write the code yourself.
 

Untitled.png

we will use a module called sum to perform the XOR since the carry is computed seprately

module sum(a,b,carryin,result);
input a,b,carryin;/*add these bits*/
output result;/*sum*/

assign result=a^b^carrin;
/*compute the sum*/
end module

Untitled2.png
 

Attachments

  • Untitle.png
    Untitle.png
    16.2 KB · Views: 79

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top