Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recomendation for a good VHDL book

Status
Not open for further replies.

flote21

Advanced Member level 1
Joined
Jan 22, 2014
Messages
411
Helped
1
Reputation
2
Reaction score
3
Trophy points
1,298
Activity points
5,595
Hi friends!

I want to extend my knowledge about VHDL design and I am thinking t buy a book where I can learn with practical examples how to make synthesizable RTL designs for very complicated like Ethernet interfaces, LVDS interfaces, etc.
And I would like to have some adavanced knowledge about programming advanced test benchs for modelsim.

Any advice?
 

I have the following older books all of which discuss the 1990s syntax:
VHDL 2nd Ed. by Douglas Perry
VHDL for Programmable Logic by Kevin Skahill
HDL Chip Design by Douglas Smith

I recently bought.
Circuit Design and Simulation with VHDL by Volnei Pedroni

Out of all of them I like the Chip Design and the Pedroni books the best, though I initially learned VHDL (learned Verilog first) using the Perry book. I found it helpful to have the code for both VHDL and Verilog side by side and the synthesis results that you get with the Smith book. I primarily picked up the Pedroni book as it has all the updated language features that I never learned. Besides that I am too cheap and lazy to buy/read the IEEE LRM.

Regards
 
I never found very advanced book that guide you completely for something like Ethernet interface (writing your own mac nowadays is useless imho -> u got cores ready or SOC-FPGA that will quickly do the job), and to be honest i read really alot. There is only book about writing usb 2.0 interface u can check it on amazon.

There are several HDL oriented good books as like
1. Coding styles and methodologies - Ben Cohen <-- strictly about code formating in VHDL (cons: very very old title, so read only if u got no coding styles in complany etc.)
2. Writing testbenches - functional verification of HDL Models (Verilog/VHDL) - Janick Bergeron --> but this book is more theoretical about testing circuits
3. 100 Power Tips For FPGA Designers - Evgeni Stavinov <-- really nice book expecially for FPGA designer beginner (but only xilinx oriented).
4. There is new book about constraining design, writing *.sdc files (Springer) - but haven't read it yet, cause its borrowed from my company library.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top