Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Hardware compatibility

Status
Not open for further replies.

clmoi90

Newbie level 3
Joined
Aug 21, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
44
Dear,

I am studying in INHA University for the Master degree. For my thesis, I am working on the linearization of defined software radio (mainly to linearize harmonics and intermodulation produced by IQ modulator). I need to buy some stuff for the test bench and I have some Hardware questions.

The test bench will be composed of:

- A FPGA DE2 altera (https://www.altera.com/education/univ/materials/boards/de2/unv-de2-board.html) to run the algorithm and produce the input signal.
- A modulator DAC5682zEVM (**broken link removed**) or DAC34H84EVM (https://www.ti.com/tool/dac34h84evm) to upconvert my input signal.

The signal produced by the FPGA will be upconverted by the modulator then I need to analyze the output signal. I cannot get a spectrum analyzer. So I want to transfer the signal on my computer to analyze it with a software. What do you think about using an ADC to transfer the output signal from the modulator to the FPGA and then from the FPGA to my computer?

What are the characteristics I have to check when I choose an ADC?

Do you think the ADS1118EVM (https://www.ti.com/tool/ADS1118EVM ) is appropriate to link a DAC5682zEVM (or other) and a FPGA (as the DE2 of Altera). Moreover, this ADS1118EVM has an USB port; does it mean that I will be able to get the output directly on the computer without feedback the DAC5682zEVM into the FPGA?

Thank you very much for your help.

Best regards,
Ludovic
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top