Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

interfacing an IP with Avalon bus

Status
Not open for further replies.

yamine

Member level 1
Joined
May 4, 2012
Messages
37
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,507
hi,
i created a bloc in vhdl language, how can i interface it with avalon bus ?
 

is there anyone who have a simple example of using avalon bus with a slave ip ?

thank you in advance
 

thank you for reply,
am looking for a simple example in vhdl :)
 

thank you for reply,
am looking for a simple example in vhdl :)

1/ you can connect your code to the verilog slavetemplate.
2/ if you want vhdl : you can download "Embedded SoPC Design with Nios II Processor and VHDL Examples"
 
  • Like
Reactions: yamine

    yamine

    Points: 2
    Helpful Answer Positive Rating
Thank you,
problem resolved ;)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top