Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why behavioral RTL verilog simulation is faster than synthesized gate level netlist

Status
Not open for further replies.

tariq786

Advanced Member level 2
Joined
Feb 24, 2004
Messages
562
Helped
67
Reputation
134
Reaction score
53
Trophy points
1,308
Location
USA
Activity points
3,048
Hi guys,

I want to hear from you why the synthesized gate level simulation is slower than behavioral RTL simulation by orders of magnitude?

Thanks
 

I would think anyone that anyone who already knows the difference between RTL and gate-level netlists would know the answer to this question.
 

i was expecting a good answer from you dave !
 

How about you think up an answer and I'll tell you if are on the right track.
 

okie the answer i know is that

gate-level simulation has many more events than rtl simulation. I mean at the input and output both have the same behavior but inside the design, gate-level has many more events to process than equivalent rtl.The reason for this is still not very clear to me. May be you can throw some light?
 

You got it.

Look at the expression

A + B

Where A and B are 32-bit numbers. In RTL, this gets evaluated directly by the CPU the simulation is running on, at the speed of a single CPU instruction. But at the gate level- each gate gets evaluated as one CPU instruction. In reality, the scheduling and propagation of new values resulting from each evaluation takes far more cycles than the actual evaluation. Many simulators optimize gate-level netlists back to their RTL equivalent to get performance, but there's only so much you can do and still preserve the timing accuracy needed in in gate-level simulation
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top