Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I have error in system verilog my code....please help me to correct that error..

Status
Not open for further replies.

JAYSHAH

Newbie level 3
Joined
Sep 1, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
INDIA
Activity points
1,317
i made one transaction class...

class jk_trans;

// randomization function write
// display function i write

endclass

i made driver Generator Receiver scoreboard envirnment package....

all class are interact with one another

i made driver class first..

class jk_driver;

jk_trans data2duv;

mailbox#(jk_trans) drv2sb;

mailbox#(jk_trans)gen2drv;

in this declaration part i have error of invalid jk_trans but i made that class name of transaction class..i just make handle of that and i use that throuout the progaram..but i have error in my modelsim and questa sim 6.3g version..


jk_trans i wrote everywhere there is error...any tool problem or any mistake in my code which 1 i wrote..

and another problem with this statement

virtual task send();

in this statement virtual is not supported but dynamic objects and static class connect with only virtual function....everywhere i got problem...please solved out this....

reply me soon....
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top