Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Modelsim simulation error in VHDL testbench

Status
Not open for further replies.

soloktanjung

Full Member level 6
Joined
Nov 20, 2006
Messages
364
Helped
51
Reputation
100
Reaction score
43
Trophy points
1,308
Location
nowhere
Activity points
3,194
Hello friends,

I am compiling a VHDL testbench below and got the following modelsim error:

Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

signal TDI_TMS		: bit_vector(1 downto 0);

for m in 0 to 14 loop
     TDI_TMS <= ('1', '0') after (1201 + 3000*m); -- line 170
end loop


Code:
# ** Error: tb_top1.vhd(170): Type error resolving infix expression "+" as type std.standard.time.

I tried many things such as declare a signal using time type but still unable to fix it.
Can anyone give suggestions on how to fix this error or how the other ?

Thanks in advance.

Hairo
 

you need to suggest whether its ps, ns, ms or whatever:

TDI_TMS <= ('1', '0') after (1201 + 3000*m) ns; -- line 170
 

Hello TrickyDicky,

Thanks for your reply.

I did as you suggest (in fact I tried it before) but it gives new error:


Code:
 TDI_TMS <= ('1', '0') after (1201 + 3000*m) ns; -- line 170

Code:
# ** Error: tb_top1.vhd(170): Type error resolving infix expression "+" as type std.standard.time.
# ** Error: tb_top1.vhd(170): near "ns": expecting ';'

Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top