Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Can we constrain set_input_delay wrt virtual clock ? what is the use by doing this ?

Status
Not open for further replies.

pavanks

Full Member level 2
Joined
Jan 19, 2009
Messages
134
Helped
30
Reputation
60
Reaction score
28
Trophy points
1,308
Activity points
2,020
Thansk and Regards
Pavan
 

Possibly to add margin by over constraining the input path.
Or if you know that the input path is on a clock that is synchronous and the same frequency, but have a well-defined phase offset (like from a PLL).

Terry
 

Thanks for the reply Terry. One more clarification what do u mean by "well-defined phase offset" ? Pls explain how can virtual clock help in this case ?


Pavan
 

You use a "virtual" clock to define a clock driving an input to your module. If that clock is different from the source clock inside your module, then timing analysis will automatically take that into account.

Now the "virtual" clock may be different due to phase offsets, or it may be different in frequency, or some combination of both.

Well defined just means that you have some constraints on the phase relationship. Like from a PLL databook. Or if you know that the clock tree of the source module, you can usually compute min/max clock insertion delay.

Terry
 

Thanks Terry for the explanation. I got ur Point here.

Regards
Pavan
 

Pavan, Please use the ASIC methodologies forum to post your ASIC related questions. You will get more response there as many people will look at that particular forum only.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top