Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Confused by set_output_delay

Status
Not open for further replies.

shockie

Advanced Member level 4
Joined
Jul 10, 2002
Messages
100
Helped
6
Reputation
12
Reaction score
4
Trophy points
1,298
Activity points
500
set_output_delay

I don't konw whether set_output_delay -max or min could constrain the setup time or hold time or not . one said that it can constrain the hold time if add min condition. So could anybody describe it in details .
thank you !
 

set_output_delay example

set_output_delay -0.3 -min
this is for 0.3 ns hold time

by the way,for more detail you can search solvnet. I get it from there too
 

synopsys set_output_delay

Here are some example!!!
Assume your output port(OUT1) need pass logical circuit to another DFF input.
assume logical delay max 14ns(worse case), min 4ns(best case)
and DFF need 0.8ns setup time and 0.4ns hold time
the min external delay time is (min. path - hold time) = 3.6 and the max
external delay is (max path + setup time) = 14.8
your constraint maybe like
create_clock -period 40 waveform { 0 30 } find(port CLK)
set_output_delay -clock CLK -min 3.6 -max 14.8 find(port OUT1)
 

set_output_delay

I get it ,Thank you!
solvnet.synopsys.com have many solv. enjoy it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top