Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Connecting Pmod Modules to FPGA board

Status
Not open for further replies.

eyasanga

Newbie level 2
Joined
Mar 29, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,293
Hello,

I have purchased 4 digilent PmodMIC modules to be used to acquire audio signals to my virtex2 pro board. How can I connect them to my board?

My questions are,
can I use output ports set to 1 and 0 in the board as VCC and GND for the module?
May I also know what work is required to read the serial data from the module to an fpga buffer?


Any relevant resources or help is greatly appreciated as I'm urgently in need of a lead to start the work...

Thank You.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top